From bf84ee1e73bc0c437265ee017e349a0d98909af5 Mon Sep 17 00:00:00 2001 From: HaSHsss <63124812+HaSHsss@users.noreply.github.com> Date: Sat, 9 Jul 2022 12:20:54 +0300 Subject: [PATCH] Create slidingdrawer.py --- boxes/generators/slidingdrawer.py | 50 +++++++++++++++++++++++++ static/samples/SlidingDrawer-thumb.jpg | Bin 0 -> 7037 bytes static/samples/SlidingDrawer.jpg | Bin 0 -> 38790 bytes static/samples/samples.sha256 | 1 + 4 files changed, 51 insertions(+) create mode 100644 boxes/generators/slidingdrawer.py create mode 100644 static/samples/SlidingDrawer-thumb.jpg create mode 100644 static/samples/SlidingDrawer.jpg diff --git a/boxes/generators/slidingdrawer.py b/boxes/generators/slidingdrawer.py new file mode 100644 index 0000000..4996283 --- /dev/null +++ b/boxes/generators/slidingdrawer.py @@ -0,0 +1,50 @@ +from boxes import * + +class SlidingDrawer(Boxes): + """Sliding drawer box""" + + ui_group = "Box" + + def __init__(self): + Boxes.__init__(self) + self.buildArgParser(x=60, y=100, h=30, outside='true') + self.addSettingsArgs(edges.FingerJointSettings, finger=2.0, space=2.0) + self.addSettingsArgs(edges.GroovedSettings, width=0.4) + + self.argparser.add_argument( + "--play", action="store", type=float, default=0.15, + help="play between the two parts as multipleof the wall thickness") + + def render(self): + + x, y, h = self.x, self.y, self.h + x = self.adjustSize(x) + y = self.adjustSize(y) + h = self.adjustSize(h) + + t = self.thickness + p = self.play * t + + y = y + t + if not self.outside: + x = x + 4*t+ 2*p + y = y + 3*t+ 2*p + h = h + 3*t+ 2*p + + x2 = x - (2*t + 2*p) + y2 = y - (2*t + 2*p) + h2 = h - (t + 2*p) + + self.rectangularWall(x2, h2, "FFzF", label="in box wall", move="right") + self.rectangularWall(y2, h2, "ffef", label="in box wall", move="up") + self.rectangularWall(y2, h2, "ffef", label="in box wall") + self.rectangularWall(x2, h2, "FFeF", label="in box wall", move="left up") + self.rectangularWall(y2, x2, "FfFf", label="in box bottom", move="up") + + self.rectangularWall(y, x, "FFFe", label="out box bottom", move="right") + self.rectangularWall(y, x, "FFFe", label="out box top", move="up") + self.rectangularWall(y, h, "fffe", label="out box wall") + self.rectangularWall(y, h, "fffe", label="out box wall", move="up left") + + self.rectangularWall(x, h, "fFfF", label="out box wall") + diff --git a/static/samples/SlidingDrawer-thumb.jpg b/static/samples/SlidingDrawer-thumb.jpg new file mode 100644 index 0000000000000000000000000000000000000000..6daf8a08d1c6b4f0180a1e498bd24195bd379b04 GIT binary patch literal 7037 zcmb7JXH*kRvkqNa=%CUCgb=aNM5+{lBtjrmgMc(?QbLuE2q=h@5JK<0_Ygq3g2;;? zRS7*5sUp%txp=>O&v(C{cXog5oY{G1&YnH9bDq8UelZVVhH64I0c2zV0NLdLTo3^2 z019&Qzmwu}UJ4}*73HPSQqxe;(9zP<)6vq=F)*?K85o!u=;(m#Ow25-Y;0`wKz2@c zR?f>c>t7JEzn+woSE#72urkmwu>Qa0q7%S!1rP=JOhI-7K+Zx&!9sTN5y1O5O)9d# z`Tnn5p`fIqrlBSOYgT3k{0&S_MNUaUO-XaPg^Zkn5mlRt{tI-Z`ABkY6}-r00s)O%hV}Y04jieT}&aM5cCiP)uTHd z^i-PoO;+qvc{H&~w2%Sj;{{SU6@*0~@#j+LLU#g$(xaF&9<1Z(wSTj+9#{UUxlNY1 zrtIAu)sHO*!t6ez5yDeY;B@St9~CLzIGUZy+eY^F;8wdw_T_nI{tU-^cO0tDK-@g_ zMGECl#m?XUn{`;g681jEB#;s*C9~kce z)y8--?-h*|&G#bct4e^Z@^7IKMgO-vV*ycBO#!H1x1PG)A>bx;r2W5HMPj$_UvrdO zG)!WW$ekM=7R|0ISvrbUqAi8EjjouMUmsAot)%-KuaLl``b6aMWBSY!XIfs)R&_@o zSiuf}4uMc!N_h3uzaMXwS#AeOudw>QSBX`Bre3G&?XlAMYy5_u;0K~WZbQ6^e#L+u zMMmO8UPVa>Y5WTk_LAR1slL~FRWi`*#u2_cBEa{2CDSy?_;4l#m)nl0C@G~=f?k`? z#+P*!#cLT@LHm}sOg0>Q&~>aCL7>BO>zeS4`@I1agSL(A=7<-0dQ+N)bJ~2J<9GGy z)TE3I{ksq&IW&!k&&CEnwYQm7X7e#vY!tgsTz5BIqPQes`cHI2^IE|3oQNZ{y(=bS z$CUNACHXZ~Kkrqrm{iGgQp`bMS1tx`bT*WX) zTI#tt>3?M%GyCfZx9Md6XVMHo%__!_nNihzRG#w5S| z?Ep&;WW#yQIAYVn1Unx2OGb)Vqh(Eq75)%U9i;Sfbu0RWA%r&$zMrhzYo5Y$0noVs zcxWP;frY=B=+*Ls(#pC@vfrM3vB6B1Op!#fCp zDf8!BK3K975qu6Z8mQ6(h3*854WfHIQc$U4uu6G!GIMQwK|8h#; zW3$HtS!rV@qKN+R+c^744v!ps&Z(Dt{6iE~viyT3vaT(|Kvm@0xaV4MVTKXER8k#E zvX>oxTP~o~{ErDGW$04@VhoT<4fUd9^HpLnVbI36(Q$(3RA-`3Rw@~5IH&iAeZWrD z?wRep7%SPnVcIBRHOFmAoixa1>UdZY<5i?F4ugRl?L#AS?Mvx|d4ywr_XbS%*jYbO zN1DM`63=wLn-)&bvG0DUV~&qc%&;f#xSl?itxcn7n|)pu^8|HAZz{<(sCZGCJk_t5 ze0V(=ksGhI>9iypE;@`x{n;|K85f-k3(|Vjqds)<<&_H0_~Fiy0D0Zr;72w@<%dFY z{GwA;5&by5!14X~yFl?7kJx6x47)a=b@eDaDnT&{!i`#w=h7L4MXW}@(n>bg)6VsP zQoU5c=j^f-rJ!|{^mZ^hqp&-WRZ|>{?vjvSk)#0W_XB(@!W~|H05xONH6gc_|T~G{^ASKlZIlK3Y9CapQ?U zsAl2e+PR>%8^|r1_YT}ii<+F@9sFoJkc6hMNvJltiX8kGgW>5H9u2k`_$uJtc&)O+ zEkBh;dF4SNU9%1@muIaU&bPE3I4y0Iw_3tD!|rW5X*s%dPgnAda1`$Q9)>Zmf2uc@ zwmWrCb=hlI@jgx&a+=%e;OWZ7@#Y|iOD6R*E=_dXIau8|O)~7js9|y(IsnT`o9aj)tW#>&W z4fr1qpl|G$tW{8!5JZ?U*_ughg++U+uPkTU(zmUew4IF-D<|Gt-b?R=HwJWOd+hvJ zpTx@3!agNS8qRuLfza_VSD?&il)d>5V3Xf}EJOz=KsnLJd)i-SFxWv^7d)FMx6$iFfesI;YP zHrI3{9J9*DW&H>#!yutf)r`BQZ{HPYSE`>0tGpG2L9150S)eC^1%;MKV^WQpQ46C; znNqK+lyR;g1(}GVHR>VnCy%+vC{>Qs+>b!wSH<_{6Q)hj|U%4?a_Rnl>G|jKd%g?wE&!{p0$MSg#PElS{h?3<%!W^J-8H z7=v47Inx$529=wbapN7q;R+R^!KwOuuo4xU*uG8oN6)XR>Is*KomXD~#Osj$Awt#u z1m&?tTQ7z{ve~j+bjU}!2Paw~`_&_eP<21LL;Z4Z-E%i}jY^B7QY0ixdd_;m8NoK< z9-eVn?#^S%3++*#jx{2geD`XIsfeg1ay;w@A)=#J+XGB^-`N+WcyEFkttftdb=H_M zUmhhvijz^}9?@_J%d^JUH=O#GU4##g^}7)dL~-8y%od`zxMg_E7LHAV3`tfxX6QT? z4q^AD2p~d%`PI55j;6$KwW_i5kCzO?yY}+5y?#l!_>)drns5=JaDe~;ccop(P2~Co zpn()Lgff3B(w{X8XE}N8w|_meRWZc}U#`-#g_;OV>A`8DCKe9eV@QC6Pu5=T!uanz zt1-spve}OlM~Y7Rw#;eVWM`L^7yFyg1gnSH(j21v9sYlk6Aa>lxKL#neit;i+k9!d zM#wFjP@qzI$#-G7Z(=AVhs*BHm;$UgsdU*fZ?jYs^f=NU|FisIt<4 zFuWT8E(t1kZWO?)Y2BGuh>1^Fl&nmfUo<6Hu;X?J~;#%A6FxLwje<-1G# zq$R(d5 z6K?P2Y<&X%jFCF1or>+EFZR% zVGjZ+zV0GkTWsU{^_1xMLHTFfK}{8*Do2r*UcGkPXN@jO7F;r~%WdkdqG>LzkO@vR(^*PceQCVl91=V;)_LKhqY=I77KZyWtEY*v{!e;9nwLbO*|Hjc z$p{`1^V&`4X>OepHhhui_k$E}ippmjyle%HRO=J-sMb$)gFdM09)^P4z1r;60{<>Y ztpfL#Ra1TMdCPraG68XcUIm}knI1ltIhPb4pBr@M3y%$YB0!`SS2V^GvT_~}iEY-& z#V+8Mp)8l-5H8OcAsye(a6@c;e6n2kF@O5a@+GU$0E1+v@4J}MkxlJP{;Ey0H!rw& zdA%ci!avyk9HR0Iv@di#iLb5;m8tPJgTp8Y>&GR$C3}jz)h(J6Nb0$=>;62wN$!n~ zrR{1!3_?GowlsK_2Qj>pj1_*BQLFIrS7c-G41}Fd0KDht|xer>h^F0 zDtrq8suDVF1vECDO3_cYlg;_dF~aBhd%H}`!BVaYb*27pPT5HgBevM*(?GLiFTS-i z9Z9X_6AV*105eIABaD1ujT~l8*rW!JTwGCYPrRR3r_P z>Eqf-5zgLD55y61!in3?GH#6=CARkbPNgnQTy$y%8Iz`jExgYr$=RDq!qyia(r9nD zyyjAG9DM_goUa>B_t{!l0=Zxs<2?*w#_})q!88T1!7~tOub{a0SSBEE?^b|1V-gO7 zkG~6;H$DT3ViJZuqkFTBve~1Gt)s_a@4Oy~7FW!_>XJc;>V8ZgE7mjhej>6GBrdu{ z0-wA#O3_8Ices(#`g@R1=TFq&9*)UKjU$H+(N0-7Ra)iuYOps$ifKLj}VruQS{q6B2We<9l!kveRZlvPF+N(DYh+Nb_s)?zVzbwNn=SNY(~?b;Q`Gc%UD6l7ZO;llI! zukLk9xAT=o=9Q0x9#l<2iI79?Z!~TeeEYZ`Pg2ii1e|brID;F1=2@407W&{5X+Khn-y_(z+U`ZltfFdh3xuSV4_ppsp}6iH?54}-Dz<+d_rsD zE)(6LkH&|H@K+K3&KA?(ue3POZ<+wsf+s~9LyVKm-=P8?Z}mgu8Z^&pe}FmL3FYS9 zV*ZofyLat+O~!Jx2i_`zPo8C`^*Bkij4K2-wt2rgwHSMyC_js?3SVvcHls3T^UECE z{dgHhxcc$Vsi-lUsb*dDY@ryt$~mTB^&w~f z#=;8|OihP%K@0^chQCd}-ZgmHg?xCn;nmsE=s)w^;i{we3IfabpE3Qz6jIe5a=&wP zeWS?iAm{>cC;9tuwaR7dKL$TPs%pcW@ zRM$KRVt$hP1m)NJ09{FRuGtq$GQWAU?|~CQ4SS+wWYels`en(9&Le|e}&X_CWM5_xSCNb0eG9AdA5UFBFolJeK_J+1llK_QM|+w>To)c6V(P^{E+C9?`I3UP z@1#{jJbox1iu&GCP{^}gmoT}<*k{MT^KH1sJY$V7GL3IpYucR}vSnfK#H)jvn2Ovg z^}gOx<$L@t70erNw1-pf*M@3snSN4r{M1l9P<>3n@a8F^M}0vci)O0bR*_WwD8eb~ z#RFnvAcrkTFy!%X_e^FTxJ4>(gROYsN!t-=oNpG%cvb+AMF*ZpK9OyjGS z&tB(+ES;N}@B(*m=1cHSRU8mW`FUJZ`YfnKFZoU)k_-|nX_)dN``AG=@pwFmPyS)T z`2I`Nc8v$y|L|1A$(tPuLJ?I-5g;fT6cPF_x`HW55DbBKUiM5t6~t zgY{$ur%lJ#jgG>ImCOoVn=6UW+RW&_tq@k_l7xgn*LeGWrJ=udZV2_7z_VcA>EZMDFNFdpn2uai=!T0#t!2V7SRIsJZxz#d9Z z;MA)Gv9>kWotB2>)DyBO8;%8dj-7;q6Q0(y^m)JoHxHw*1sLH5FJMCAh+lsh?4kZX z5H(v`xLN)>gD-g8lqHN+DpCJ7Z0&@HXt_D_&TtU+dJmP$v$XJCG|N{(iKUu%V(0J@IW5sTB*IE zPo*d}9|k{}=m5=+K7xVB1kmuS{4&`t_X^9Lkk41(7HFDGbJ|Zx;M4c}Qn5G5>T( z&BwVllc0!3y8lBI{|9T_=^R8+d|woOV)ko#Lv&Y#@HguB zas)I<7XbU&no!dHUyRpBJos=TA#X;L*X+8MOTv&&h&scJP;<itH7-1th;`qoIz6 zH1&=nI5u_styN#BANmVF%ti!46G5&3qJpT%Y}h#wA{tLjyelF{@tkt^OCTm@YHCWT z$xWgzgG6DRoxtnEl3RJK7UBE0PiWl&nFT)Zni$yf>`47aVt3?`1amcJ&5$=0o`(V< zZN4r8JMSwS6hU3D3t zWP7x)LRpmo9EpWs!@+;itp6@zmz?W=DoE;IFOojv~j`ZEIv1u#G$5LyTWEiD6#o{k>IdY*ydJnID(7FHIP3owR%x&HO` zx5_`4Kt_6cMkYom6B889#KZ*ut6+lvtqbgbL*P#v00sfQq*|u}A^{*6kO~I;(+*ez z00AH>AQ1R>v;ZK8iuxRw26EQ;5AA2dfvCXHGZCr4Kq@eZ3IGICoizerXU)`59_DkB z2ql^;idro9kZ3sTqaa=>K8!RQ?5rCYM12lIcaHX~BlTZY05Be~Br{Y=+X9I|V{}-k zuQ&%=x`gn;6LJ%!ly$9KA17(udzaUiuUJJHuI9tCo*R14A2cGZ_ib^BL@r=H!vH)7 zrUp?{{|)vT1|CT$;*7Vpl7%xUI3brA`KapKyCICuz0c@8%AaWf!{3^&|b zp1;vd?VUb&cHGpj9W7K7CM;IB z77zNqjR}0|>FZB6X~!4g2ThQcT`yCk3X^U4nfXe}^QuuM_xQD$qkgp1nww^vkRJH$ zmA|<;!Hqxq>AKD(HB|fjW7 zs^nEm>au{KRV*BZ<;iKKG8JDUBQZ#^t1Xy&QF;2-88Bx9bxM+#5PK58-woN~>oH|^czI{R>R_Gn;VeZ?Vd*ik=)Fp5XovlIxpgcZEF zS0TY@V1umk>M0{&`y8=_nwAo2Ou!Hx8VLn?9*5F z^a%2frgnxA z8D9ADiHzjD>ExGcQ9b(T(X$_yo*}|l5{ie1xjIB61sp$ch$Kten)dGf0jN7Y@SL}^ zwcZ?@C|Ec7YMB4rxjg7Uq8fC`6nFZnGIcN?kXf(m@Z7wnNg6CLW=gP3)?uhHjJYYb~#&ML$SMi!SQMw0A656zZolM;mhp zkJefimKmq$8i545494o%ST&>i+LJVdj7v3C`)g)S7495J+~&@WZTyc|sGb~W&ncXj zFrNCE)c?MC`b(QrgWI~*io&Tvl0R-HxkkrQO;0Vft*?XjgUy5Ck{VNONqZJ4%l!;A zRwW{_-K5H-OqyV=Mjj>1_e>7c#@B9FSBYego8J3TGg|)v9q`-NhM)6y%>CJ|2K<)zAr{&UJ(Es*_Lt=ZzVwR7Ac7`7#NrA*PmJGzKbQ4E4wAnqs-|6K ztd?A{sHZ}EJId@2;O6&ZpLY&l66@ERXYSaHr2LwaT3NxL*3S{^VOJfGo3foUex3&!UM&IUscBiONS%mMHbe??49QRKIWg!+G!WB&Az;(f z7lCDupbbiUrf#5OX`zgKMc}c%PoWe!<{`SGrmluko=a&K)2!7SCta4`o7d3!F;5`( ziu(4)^54f)#oclt8;C0)0jo7jJB>&kVoVSA2hA7h}rf+t*!$UFQ8J?L82h)m{ZttSu?B&)ts6z zRueXXd(W^cTWiO4Gvcm-sc&vn#r`}o|55qWraM~PJyl%v$3&UM;?d%2v+1*Oldf)4 zj@{#3&rYL^{eBMKg0K_=0ha<&*!!~CcWuY5!BJ}+Q)iHsC$eX=q1#8X-xm+RBL4az zYbWR7&AOTE$8I0&G>xK=&Ne?P44;Wo>WnA0IWraVH-2+jWlq|Aa5S$H7oMfMj{bb^ zwYAN0jaV6b$ie}kz`)pN1TmOhXf3o##73N11@hMly7Z#(3 zi8yci`4OuFrD%*V?DjQ0^y#R4vS%JM?{M52cRO{Wx43?0vA+Krdt=7SFL@nZ)VR=d0+ea_2tap6uEnUiK4(g=yN=4 zpU0c6p-IAy8`sH~5AO>MmRHA~M5OgNn`?+zf8Wb;o7&siQ)+r&+=}|XzmL0-0Z$xZ zPSU5xYL=-aS_*U&jj^UBR4bEfy#=YvN-T8zghranzQTF4tpLJMr-$JnDEXl^*J9#%Bjw11W4fj{e|jag72 zZD`Vztz~(C+Fv<%$TH#l25bJwWAQKOoOhr4pMElK8FRjyZQAdc$Rb7(5J290F<*NZ zlR6qoTPsS5eCeBT5}0JPcxrB|5AUw0TP+xrPU$$L)Tzse3bl7{U#j--uKK*Pe zj0Q!Ok4MzJ&dMBEjH-rVFP=+;l^+Cy*#=P zQraOn;~U2h8@ruk>TKC%#|-S(&gZy}d;2oc*R8yKwsq`ooIV;^Qmcv7rZcLtC&cT_ zsMx@SL%WR$`nR*bR4<7*O;RLc6YksUn97LBMoo_h@1=597WsQu>hFG5m_A_)WYMe_LbOA8WP5k+F*=B_N}Tm_-oul+Q3 z0%Cb4(*64g?q)nvIHkO;qwGOqt=;=X z!S|nzE6q-?_BDx#`?E#cbQJZnnIQKZHav{2Mvp8L;6pe_eL{g5fW&p3$ z5Q`*a>-n0p4eLMTK6&P|#n|=XVcNk*iAz4GE-iUp2l|p%E>>3kEZ&@d4jlPQhO^7d zJ9bQ>-?m{@vIQ3)EA8&!+4)71qY+v#JVr8##w6D&aWsm>2^6%e5CjC-3^FU__nXWE zWjDTWF?jus9O$=e`l!ry_wN4B@bb^CA8!P-nk1C+C962Zc-xDc3mW!$w)a;Hcdw0R zU~H^S#DuqODzCei91K)L4sJ@`wG!4`oDd-tOj5)c&U`C%8LY{DuiB_-%6L`E4Y$cn zABDi;%;yU?zlz_?Q;UQY{`47eJ>bs%MK!iY_c!Qc$BBIto z$m>OWr-s2)HU0g_D?*m8KNp6t><{z{O*q#l@0wPuj3o583~$=Ji59=QQFrO*YeMCK z^Zix_Yac1|?n8^q;V&;oc9)u_sG~J=RPrpljHT$B3ZC^FOq5Rv72pWQ^fR1&4YRSu ztbr2sV-Xi7gIVWZ<^&S^=iUpgG3mePBIML zV0)o1Y$71Uf*u}BQBf3bCPlt1`1yKc;aWqbMaQ9;kAs9v)3BXy$-F|KQ)+f&|HkNY zXWj7))4LMhhb@`)ms5*yUKEq>j_OIqHG(LOt5?c2ZHkaxwO~!BY7w*X>ZdN|Ne03n zxsx3B16s{r_u1y0p-i*75%_TA$o$QGw%AjZ$40SR#!|X$*W#v;3(O4ZAy+9Xf)%N zePiEwW52vPRde;rdRjf#T>;T7DZ6O19tQ(~>obb9rM32jc~!|SBa$*NnbIpS7JT<< z{N*drE#p@?q~Ke$d(g=hdy81g^0dPdY%n?OGbb_Qe@Xlwbl`95Pz;+pKXASE;H}N) z-p@y_U!>IgYZTMVr=Lx;MGjlC;hD`-EAB0wGMN)?i&o{#h>yos_KEdkji%UPG07PPdRa58B|<;UCa*GGSS9a@|6efsuqsQ#gRKj5|z;Awu8`9TwT!tmAm z+AfxWb5j4{$W|Cy)E#_fujO)-xk&DsXRN<%argEI>oWOh9S!6-=AKHS^U{D>%?Z<( z?ZB=(r$|<%@g8kw>uc^?Tg_P>?$2DRQKrJtO4<)d(LetHMtXc3G?#{k1ul8Au&AX8 zz|whR96ZWXJNz{; zBA4s$FZCa{E@)RRTz+rya&mX4MbP=o%v^)QOyx}=en zFZF)_5*x?+n_tf~E6Gl5HP7-MnRzfOEn0&%l&%78AmTG^QvNhhb{e<0XY1K589q}q z>pJ^xpnxwKoLAF1W`B`sM)h##r-SUjGyP9bm(P10OR%2Y((xNpqbB~G&~Y7go-m=eTpk@X3yUE{rqRpKNJ4Xb(*Ac1=F*v#IX69`{c9`rg^0N z&g9{SCfiZ!{TITP_o79G9waZxD@b|0OS(*W8Tols310u$tA4KLS9pHVe~iZeGwpwE zVKf-^bB+2XS&+1Plji2~fO*lRi|dA)y5$&ty1+T>`SZ2sEzPM4jk`V#9+}QB=5Nl; zhkwax=osf@b5Meg2yRzqLR)cW%*>z}}Ht=?9Lk z3+`b#|8@U=egljPIdfCLF8<=$d%yPV58&Y&u{YDsHiQ5C-G5s@FTfS?-+u5vygbWa zLx3Q_S@Qf3piMwgXd*(lTs^Eqv2=`uJB^Q8K9Nw2%q$6otJ55z+3Bw4Xd!+RbznN> zpyYREQM*B$O(-wEFnm7HhzAjPd9%=EIUWA?C4CgBJAu>JnGnnq(4DIlMz`yHExUsm zl#J7TfuEa_iOp8bwBP=nN)kpHi2MqIKfv7$;{)m}mlAc9-8;~n-0DhjrfJ5B#-Vs1 zG)QkVo?7vYFCYb%qyNA~QDBt3;-qCNmcXMB>&AIS)lf+$*EJrO#}2+QtC*v7TFnEK z&v`S+=ZZ$b)kb>0=dWuK%)q)7Phuo7i*X17o~M9pv|i+E}UV5rGAa;RkmipTsoeUDSD!sl^ApF1sBs*~o)&PCMo0H+dDbCREZ6;DB#u z9W3Am5ikhviVKO9nG>M|2VxOWPC5R;%SD<6_Y-pfw@7;HA#c=a_TvjKG+kWRHrIkE z1cR~%aY1%k^lgDT?kFxU91rJE)KfdM!1*a?0iM~CsZ$d9YO(QJlxU=zG`~#PpwN)e zoI)`A=%PPw(TSI`n-GPZu2zz@q}~32M~?>)(5CH1d~Br?V`GgeP|H^kV5TQgA)?W{ z*~W;Ci7Q z4N}@oXH1#yR_zSFRRHdus9taP;ex1D1SRmx@vD1@=kv4FBZ45z>}bM+9RWHpcj2|i zrH~S`ZZaye(~SYTYpH}*%z3gNbW=1)RZDkcTWj*VTw*>*T{Kb!u8nmH39SQ;Fmga2 zV}Jiz(#pM-oudswMks3;(aMpLdU?e-v`+Yzvis-jC}j5FGg(Wqi8Mrgj-b!r3Ra#8sd{sYwgre#CE3(xW#)WNJ2l)#|f$xddT?lv92H&G9P zj7cgcHnMhWxcO~DMT4<9k%~ERAxUzYj_W>OVU-+9eh#rb$SkvmEbwMbSTAp{sB1gV z|90+JY8X(6=R!Mkwkk0uAyG=P31J8N)`-v|#;#LCm~9cj1mWPoe3aZ30u0DSlRFy4 zqH`mGDac(10f6jt>q^jFanqm@RN=yl7wCfNNKhagL`{`r8%m2tBFL<;`XQd%mP+Oy z^RkB_rgnPy9CTz-JxyqWJXNWp9UWAMhl4qbr4&W>B+&85Jk6(E%%#w%DGkL(p!u?d z&Ts9K2S9VJNC9{|xVTELi64%4 zn~{0`nF|*N=m|A3cVKjI*ZP2olFc7 z8rhT<=8^N%ER^hITrXzhw(RQYd(6I1L4|hL&4H92L3LgX@A9jU=3r2H+H$~k&htu& zf?9FBTJ?EZw`$3EF2slUj}(t0-H!O%>B?zP*Dy-gg!S$a(UC$?5M*qy+-@`C4%K?$ z?HF~}9B|qbZpU^Zl|c{BQSyzfAsQp6GR8#@p1~6^3@AYHP26VY#hnNphAf2@Mm5bEzwy2ER5;TR`b2#^$Wl*``n8-bA;@|; zp;=aM1Jdb=$%XWf+=s4Sq52A;=Cr^GCV&N%b@Xl@zPP0O2LS*5M4XPH5XVmp4W8wu z9a5Tq3S+tMgWH5!9PLKn(o93v;aYzH!r4n2KMmjHgcEubJ(=rck~Qvw-*U)nwT}26QW&XLKVJ)aLtxL&AV>e7imQ>G`kb4O8u$t3q;?r!vCsjgzG=e}}(ASX^-(c)Of zj0@T^ILFEr^MPNCd=DRE6krsNdlo7{^Ga!ghO8W5oy6MC%ce~YxFvT-D)969e_3D7 z_H+MbeL?c&;_+92_)L736n9@lVm=lu%`YdP4|rpQBZt`hOp8S6VyJZdmGFps%?sHd zg78lfL7Z}K8~cIECk#qD1+dWY#UOqN50s_&cD}$^lE48)Qs;5ya17rqI-sz0JOJ4} z^6PA>XI*H|KZk|=fPv0z!>!y}Qg>^v&`AEv-h=jhwNNE)kF$?cfo1-(r|K%4c5i>R zBbEUyCm9A~Bbyr|x$7vqjo*)pO$DeqmGEHy4AhAGtK0h*hfgS^?iNASli?&@WI%r} zE|)$YqkUpKe3L2b*5qp*yv{jth$QcJh%JU6&l8TwZ%|b{vtL|*Q<;5k$>@~HP)m4e z=zX#hw*_4Kkd*)>t1WX6R3r%yB1eD84Aoo?1_TY}!65nUxJ2n5L|hqHXD}4@7%DT1 z>Rp!$Ea|T0L<5<7XTeZT3Xe=&F;uUVmt}t=+caMQX{nae-SRO1!F=&Pg+ED-$|7eU zu39?BdGBl-6ZV0Y^e0_z_j5>T%{EpA_4{+nnt2=?h;j z3ne}1ZXskC>?f{+yORVk{ERJF-V)A_4!j_J9Mw2f{(Ql`(7xN-?)@O9;z1UlUa&ff zF=!v{NJ~0V2;(L!_ei=>2`}K#BtC_72o{8~W>+1*i58_whOLv%6&n(>F&W0a5ix{l znPk6Tuw}24f_SSdx?)K_=gMQ^!8sh@?)b~$#4K**;&B}kFySJjFnj7SI8-1^G1&j& zIwe^a(S3o;hhcV`4=NPY4jyGx%{f`n@tDV+8@CQVc^jW&c5CFG8-kZ|k&%mBuzKZy z@s2|Apu79ooHIH{V-o(bk^>qVY*v4g$OI(o*@FrZu#7awt3gIwLGb~(3rq}cu65VB zeMrvmR&y4-=Aj5bn3|FA4%ntx=$~ zFwXLPL_h{_-DBhKK?Z3-tHx6&>wFoE;xFOnsH`aZo-5SSdAUXs6rM36dav+i~Ff7cBTf(mH5MF>*5NFpbDfBH5K1|G<3+=mo zIQ&g#T)GdJ!V?}zx~p`|;Paa5l+e4wn=Z=%Kh?rG<2Dq8z6g1roD)BT)Q%dZmPr=#$!~~yUDM=SbqE#_Q zc%16@kNGlptG&A5^QAwE*HraMUFW-<;19UiOnor;Pa9n5~CC!`EwJbc?bMW!A zXF|K12p;)VPEQEhkQgS(V&0MkG5eYSyLMG@IreoxiJ4Yo;m_7uA3dJCB4~aSmuCvJ zN`sLom2kjI(JxLG{v*!y8a%@;9*K30eV^?u18(%}F;4DaOYMJj4JJ4c~Vp>_V`_oy86O}^!h>gkDRObqHo*V2w%T2DXxV1cC=rK-q zeS@0THuS#nG2n=GP(+W-sM#@;j>oBi2MUOw&4T1|`6280>rmAn3#>1t`qX^LwC%3D zYH&*`y8HbxBfe$>w`;32;#LS0(hh9$_sgfOX69+nLEmNt@a}!;kFvF&cJFow>lfoWz9u<)h)7OWUvFv% z2=fn+oSeB~T_%oFRbnTE%mwqin6<64iydJIS@5okk(_iizf%Z_st5}PiPsz75SJ2` zX!5uAjp{PW^t2sVjFnnG^%f2AWKE3ww($|ZG<6#M-qiXOXLwx~HbiNp3g7xsenSn7 z6isJ*7i>mr1~F5|0NLoU#iqIJgkJY1_ij1rYlL;cnspNp4NQ$wpOo_;ZdyvQx7f3L zNHkqtX5%eheOWfs(~>1@?32KDR9DaYgHU*(vlWZgYfM&mO?)D9k^b%OjXTbeP~2y5 zf39dFb90fI8*ROtHWBZiMy5g}OK;sCH9U*!z@)SQrW#xsYVLOo)}~7MRYr~Ot104sSol4(p^Z_ zulRC84Y_`R-}00yBBjqM>a{O!nh{+ygRMDw5}z29>x;~oP=2;J6mZv1dy0~sTTEq> zDk3(nMFUj%-T}IrIIo~oAo&QY#B^uE1(mKSdE};!7}Pkyy=hkBk#vGK=P@B2P~;sxDtop+;|rpU@A_GRCUv^+j8>|UB{B!ksB%R zT%wjkV`F35r1;rU*t~c08*pTU(^~}9Ibwzdg*FQ43&_xM7Yr1c40(Zhs+Ze-B7Amv{5AXWQv>Y&|9;l99j@6T!0x1XUKEf-u{{!IQacQe*wP@FLQ6LvYJ4B z;4z_lj-SV)W5O4u=Dq^MjOYIVGJ9Xv&%TzySoPsPN6aW1G2<$vOqd zn3UIEWLlI6C?cdM2@J9kvmDZ)EJ#Igs1Z_8=h-rbsevpM)SLswIvBoU;)tK3A*_dX zG*s~?H9?Tdaz{|2;ws%TOVMO#ZxnSxB|{{2^B?wJEhhe>zhHKhL-!XGr-&B3f>Dg<9fC@GezyYTH|)ZiD2%#6{C zXQmIoXc$VY73ZaGt06^_9`K@L2DqnMw*h8)7ziUR1g^vzK^=95z6+!^9m;uj9<35dX{P|yX*Ox@w-u;rg;r{{oPpRIzrGekHXvBCdb&`1XJEpkp$SX5;=0D z2ayAf6pEjdDc_3yoF-OFJxIIH@2xU;5lsAvC%ro_s02+%!yw1*YnX;ad%b*2xc%39 z4ogd+xF=~#w`g{s%N|;V{l<5;UR-Vpn!0PWY!~SOG0Own@Bz$>s>Rj2Rf$S7{)5!= zyoksIgx$JB2oMm20z(EVLi=*?ohb1gX&|IErdd!?4n~_YjsBJ;iBd_JQ8iu1c$m{2 z3hgV%n#J>jvfx14k&UFDC1*DOjGDWLB2l$g1Y9mTfJ^eiz`MgskGemLBVT;ly;4*2 zy5&{blVi?e(QvPK##+4|&8_BMP8;M8%CPB2Q&-enG@ho0s^7cxib@?iKa&H{EQa@G zrIJBYpy0D;gHTYQeNGLm%iNI59BS-kF3qGBfxh*c;reA4&;pSDXDH@d|QB}1{h;8LbVOCp9`<#(Rd{oEb z&zB0-aBg!F1Jre5YtZLoFa9%8C78I$NhX<6<;_sw3;>KN9 z&N~ zKTcASHaO=nwFP%m;m%VQUkg!g&EDlsDcJp}I%@fZWv&E7d_DIRu3yY09{wjF7-nGzNdT|CJc zq{EPzTSfj%=O5lU(bbvB3khR|G=4~8W!~h`P0BHZU0ZPP)1PkMWY=Od{yi|U5`9d} zOp$nHL3#gGzE$>joN=+Uh(=>t50+=8X3j9r>C2Tr0Dc=W0}>HmNS&6 z=_1X{+q31|GA^5c&|K|e19oRwV)AVt9Dj6Ki`4N5d#0jt{!?;p1G!0prdb?lOEriJ zS5l>AFb^$n6ZLhWx{G-*hEOd4m4J@8pGb6>y}bGRDRHUY^n@4$G9;w%w?PKVi;f>u zn#$+YXG>(Y@E?=Z?_bmFHTl3jCvR}ssFM6W_1t@**lwNEMDP<&E(ZS{rXATdY(IaK zFj_ME-aB)XyYI7vEPi8qOq9<*>#*5aldltD3j94$_3`xloFu#RWBg^^Vim@lv0H5} z?=hY(U#rP@mqr0aFmD4;j-Oc?0;UCUb-7X5tuv<~q^F#8!7P*j6Bq1GY?oRQnwMmw zg^G`4^6SxXY50=bYh+P@_BKliXi;ZY&glXJ^;8e*z&HpRsM;^}rU4KnBRT6J?kM&$ zY{01c<%7>sM$>6u6Xe;@MwLmA*h8i_r#G`_4x6pBe{JK?DdH*X$LGt?kqbOy-#tGF zjqgs5An;Z1jFw-qPipkaB`^nd95TeBizHf?c5G=o3&oY>sO3c5K-Nn*6}Vw?6EObK z;|7wOKgfDTCN>NHF+_Z)I|_(Kr3^wNHS0t0Oo}rY-5uH6RYF|=_!hTfp|N5E?)$TLYD*CywWlF8{%P$u@G*~LwNq5fmn2f}bedZ_+{q6dFP9is1}0J@ zmtdhyeZ#FE0*Beo4v<4zp1fqC@OzuW-)=$8RBik(S{kDP5*}{Kg4klE@!ljMH83sj zV>_5=qg;X*-ZcxomAD#&Mn2<7K=26Q)VSuD*Zboi1-VYk*H{Qkw=?3uqu}&8d3o@2 zqW~X70%N>3e=tedk*I_Xw?&abz@Uhg_G_{29Jo{Zm{!9%wsIBDx%`)-9e%a`YKyPy zO?!T2jn#^j*FK&f)Hk zb~{B7w5FJ0{EGnHG9vtx-K`=e)PhK6#6pGnL1zMRY12KfQHCuds?k8js?Z}AuW*q_ zLJ&ztVg5rmu(v8Av3YaSekgF*1x@x{5<25es0DUxI3@{-X#IwviZg%g<_gB693(O15CY9~I* zN)T^3QX;*&FiUp1Y5w~oI`1y+EX8Qbde1-lW^9ZVwY|5yy&>0v$YTqC+k|4Sk#mAx zohpE?d_Fu$MtnUU3NA^(sdY0y&S4QG;A3>r;#q^7GU0FCNI_@>AS+l!{>*Pv#idDA z=)gPeUrl|s@D21&ZOKw1j0)IBLI@!O>u@++kCk5yefFQ6wW-qqJpd_(g^4zZmn}nv zrL5#VOlr8q7XfFk0+zrBhba?U+lO;LoxejjM@ol$JuO}j_!Ih5Pk%HAI-p<52>uLa z>YZ7b>7h=ldK5X!uE<02ke$3?qqyAEv68G1?PBrJKdf_^`m0fwiO@M|C*i@;sIT?p zHS6>bB%vUvDe{gSaDpFP$nZ?Qdx_h9}t8M$VwYlZ3+iOvMH3#rW zYatalx*XNTGVnhi=|5HMc8y#XSinLC+O6Wd3d z`eRo2*E8S4=e?p@7CqyA-BfMd=e09e4gyR+1QL_n2z;Mugwm=d=v2H-!vyU)flba*iXZheynd)y94GcegJD#yhi@kqcoml6=MVX>`1amuY9anRVenMb=L&La28U z_v)}kQz`G5TY@3vS+XSy5|5_R9PcW2VG%GqroZ7|7g#;N*2gGfVN$CR85BfFcUBY^ zO5$NX2_-ueXv%rk@IN%+GYNyeiUNqsQ>gBRP(6~l45E!^h>}0=7(abZ++$_R7tlr< zsV_5L#c7!Elsoc%9nI#EsOK;7+KGqMDNd$Pf5w74atbhhr(ERQZQY8baGHk}YxRpe zl|uN0sP@AfotIzoHd|IEU&p!adYdw6QqAwmvKze|h;GFZB2iAwJWUJ*#yG*Vou5cW zvh0Yv0G+NP8OWz1e_u$zoR%+VJykKsBU!E8wtC!1-XX>04?y1YBYd>G6v2XkGNl8n z1u!Tj9NklKOxWw5u*ot9eqf|!t08W!7KH^^FT0EUbTxh3C+*dp0XY?#67MO z>wD{xnB16%nSMS_M_$lS=MP}|$Lg@mobF~kWIOF7t$d}WUA!Wg8k7afRy^?y`4l>n z*pu1jPT9**+4uh{nYOh-l1pCU)d|hj<<9Q?yk~ z;#yD#!OugPI%s&^+4zT0iP>JM?2}uU!fs^WZZ42>RKHy@XKZZ#foJsP{V4M0@C#vP z$(uEr7uSdj7CkZ)Pc*~*{TMXZ;lP;Kt#ZNCZ#z+#}H zPzbm$#JU3qQNoEtg@Ot-eBkuBsKVP(g(|wmP%1%1=A3KK#_MXAME%F$PSn>c`NZP2 zrx-7~F6OvH+e{gDoF=F(0)5SYug<5J3XnWTLDse!`_ukT3lq0~hqGjCHOBCFJe}9L zFDIM~A_zT#e{P{u0bppHn_x^?@;r-*c&Sbufyz^lvkYmi-VJJQ9ywvv z`&n&0E233w*9nEI1}70&_c5?yI5D;g9%lAt(#+I!PJ5f1Z799ha%WrIL5f3^l&iy1 zL?_gxSVayZvNyQhfVL+FyDcNk5L$Bz9iR}}`pZng6Rr)-Rk6Iw)4kmBRb9g@^hx4G zLaLzRmp+6M2Z9zMXuTpQC#l>f8mYrm%@KWxtH}Ol{Ks(@t!TuVEOUUMSsDcEgUfbtEfvSc*}7po@uadf~#U zN8?_vMe9tyO8KCAN{flokMnaK*pJcFSZn#sdm*%f3}FHbYsr*PWt-S z$r!!-0&;W`x}!TKk5YXE6VJNt5@8NkN)&XtePQ$}+|Xu+?WUjkygBLRqAl-*p!C|a z2p0lI1`+aPLS+lpsPNHgif7wlk%a&#!;_78TCx_W%;UG_?1@ydH*!tc9}00A?o*3I z5KHAnGBQjrIvl#}-SWm~U&p{gJW)9;l~hZ<&W4mLZ<|=D! z3*D_ft#r_2m8@SYVT8Lr28JoAqn7O)P8-pua){*iVn&@uL2%*G(hKS0LknR-E=aAI zLR3dQXK)S#+`|LNXNMMTK85a=07~D5$zjuU0D$y(YHDZ(i4JVnowCsrVHaE;U8-GZ za%*F>KzLTGws6|jT}pNvDKr9uFdlp>8w=ySdtRr^ph^7+CSSQ{%rrKs& z86^J!8024@Gch;*h>n*rJlNi{k{{EzN~Cm+FtDw_Pb1I$s!Bk+6VoC~4W4q|EPid+ z+sTtphkgVd1cOK*Ag}?Vf)mdNY0A-Mv1=9Ns$CVn2jDo*>woU?ZHU}ur3EGCeE4ap z7x!dGJV1QROZ3~L@a-&N2FQ%+K52C{;8LDd*lBFX@FVXZhum@1X(|}^FCt5?$;q5G zWm>a2dI^&u*%qIQRojk;T}T<8Bt%Q^YxK8bt1vYIa&9KA)*} zoHD_RYIEkxG3Efo$rVpZS?|_euqVEsa`AssGCNX)3{sQ{LO{<_z7Q2v_X{N|+6ARL zKnz_Lx>N^-kq0BwY0RPG8H&;*5K4|346@b=k04P|@8Z;c&+znOvL9v1xors|Y`^Z4T!pvgW0UbosCQ$+#Qbw-zSX3PR1ORJY(Z3%fTRo^MMb&c6WlunsXrc|Ywp3uAc8L7W?a&LuU#<4^H1OJfX!&6o{H8P}3lyo1 zlDC6hBr`1wx-P50S2PFZ<#x|~3fk2#8Eni?+{9*N$Y;pSGjYFOb(XH;%BM;$o_^i$ zSD+YUb^4|ula7v8kp+GOaF#*KgCk3a`G)hKKM0-LH7ZaA&YEA zE8otnMC^S&T!N3fxVg1Vi2M3Fw>i9U$o%N2$ae8}yu{{j&`!_rVeG@giR9$pGKXz- z@>L{J11Tx1_=_PSoKJ^nQ-fp)ERs|%rWk36w+i_XvAx;C$*xD?!lfH}T&=L?VPT(^ zeld}`y){id&qB9~WM+n(QyPSTK@k{!3{$RV@6L}_G zHqx>w{UV-_9DdOFOYcSJQH6G1(v8`3C+NVWM_KVmUNW~=nv#W!u9GC+mulBpk{NS1X)0L-lG4Bw%rKo3~TEb%d(0ov-j-DOV z3J4@-ypW#4B6iL#x%KC2<|uAzq15FPw86kn-hAE6bQiNOBqw&wEICjN$0}diDL<8V zLkX&OoTc|yl?x6o22@4=#A#4j1&YSfo%A)i=yCyP)mWDk*IAqRscOiwj8(fb%5T5( zG#~&nj$M=CFh0E=U1^sZ616FYp9pn+2Q8*^w~F6lJJ6gKD-{e~qleb*b(e6TkC+h;!0lbZ1@OF@eL4};wm$|s#wR6{= zQ5en@$_dxn>4bl{DPy#GH@eGxOQbr!eST@crqa_ovh%R_VlWGbF6|*olBzQdq{YLm zMPd)}JC!@aUb(e0m4D%o&atQSHs<16UiiHTorw6B&GFifdAY-`$YflL3GRJ09$i)T z(3ei{M=T+nwI7?Ko#@}$>rzKMs8Zv`vc0LofpX%YPVJty*uL_sr$*w-)=;GywWp_} zt#Zv_Pdf54E=*zqSc>|gL$=_K^@;464Ui7P1r|>&f1hg2Pk(otJLOI1Sf__C{it=x zw@>WYFKf!n4v!nbrC_QeCA9dk`)uAhF9&Crwfhd(lAH)afh^MtjMNj=@~LsdwBBAA z$GQ3ngV67DovY_M{{T1=iYzfe#m7Y}j7e~iP{QqeWaMmOq*ip!r3S-^!^thexaF3V z7XZ{N7XLx*$ZS8?KcS^HWzS%|jW4%!R|AMJyuHQx+DRu_Snqy-LWQt?>7hil^F*0M zP|xQwOV^`@mHW=bojyd%yFoesXnAIk|H{(bxnbf6(DQ-c!^f?hfxqO@qu3~FmL|uv z>ycPCq-MgFhwN2}s_P668+y(}_dpx@#`)=<_xw+LMq-C)9tBOYRLwEo0OT*KFm_L} zGHW5|j${t&=E7gi*?hGCsa&{HsvN+KiH{FMh1@PuxjXl}_ zUm?O8uuRHccinsGOXn9UY=YKQ*EBe0E5CHgi$ry|j@ddJE;+?tsmo4l{xEIc_6JZtr-c)cs+h`+>-)=r6Nm9IUt*@uUuI`AlHk<% zU>_$6K`=E6WCepv5$jo5NtO53L)XO;=wo-IFVyJooa@{)^zxlimr@VuIJ(pk>U{Gt zEBUDsirth~P-qUMjX>z}^3VA4i7zUiOXg5_2rq15jt`X(Uva$^-K5r(z52CE=KlcP zKqJ3*(eM2$Gb{Ug_f|DAy~c%Q2}Z3l=0m_Lav?|jUwEVBmQ!+bRLxvC@z<&mb!Yzo z&d3tsn6e0lL&T1Z=y)i3my;5R*nsz#OIyWxh`h7{2b9c2F&(=njD|b1;qpX^k&Ex; zL^|0SI)q7Gud-7C{{XEzMxkLCrbp0qD=k)h{y*t;J0?P%I&`W2cR$i_nYi{|hnm3* zeop@Y8$4%g#@><|NQ24t2WXJwqNJ|iCuI`x!BlJOGJkI1wuJ%#Ojw{a8>dgZ>PErT zhWEG(ed4~utydum#s zELjM;DV=yAxFcbr6h+oT_osDBPO*yq1vo;aFFK`+sa|95?555m zyc=?(q}V6pE2xThmQv$&MqFhQ=n#P#1a}egSRjX!x+Bs?!(@DxX>Lg0i;LVXjoHZc z>+3Xjmc(K^PG1ggZf-8_VrL^pn5GFnk6?Bh6zHArF3kn;zeyN7Kj5_~Q>zS*Ri#l} z+gw@5NekLW;t~Zql9-W}Y7(WG{oks1``Q-}jGTBu62ue^!^vb3JEC;=)%Ge*#JX+3 z*zB3*e}u>0jE`Nvv@#|$a#f|&sZ5>G)RcTDQj)1qLN_(zZ%gA2l{<>R!Y(bST+_&P zDMnq=h3-Emi|$hfje0jUq(~0CWg$yHJ=F@5r7Ul1oe^z!Yk2@bURRAh{q%GW(%6Sg zwhMIY-0mB13MXQNIf+HWyvA7H4CW}}4WIVB_tB9W5{X7iq~lICZpBNUi_|Ojyrdxt zaQ+pT!Q!)4-IL<0`!hnV{xagjw^6Di!ehI~j#HNLs1ykb+V0}ot~<6<3YA(lqVYNs z5Gm0s%5+@??d1?r8b|~Y2o_tEJe435F7imJV&?2NkG|TS2n~I7XD+MOuX`?A7mTyS z*nbVq^=vMBpJe#UGmSPm8{BPf#g(I!rDKo0$z9oeH;y=d!O*)0UNEX0NP*HSMAif$ z;g=P$Tc>5Zmw}_AQ##xNQ||7YKoMpr4ZG&oSnvM;Yy@?5Q7HMpyJL^X-Q@4Omshjv zIIrRzhooS0!z=M;WTSc1dS*AQS|R}*kK-&JSZ8{Mf3H@-W~pND?5`~^X^F)#;^4N^|(@q{FY+{{R=MPMuhGAByoA z1C#6hi>qE^d#n{Y^lMTQ1oCnu7VbQR4vgo*+@hazEa8?Qoz#V*J3J%!l0vFv?L{{R)+tZt@dATwEP zhBG5!owB_SoRuB)bW5S&mF^MQ1P}=Bvqke&cu7%lNDU5-iBc@GlK%kfe09=i*e|0P z#y`g|EUhoC`zOSdYY7g;)-N*o%6Vyt7@TKhyR@fen6kVvn}AV4IyKN$B7^dYbm2)cCn{zC0AgoqW#Ba@X-SbPog2*6{{U9&Ha%+<{EIJw z#OAI07sQwLX1T9xShGUnbY<4BZmz613Q`OTDbsJVbuE*JzTYD-%AV2Gl`WO+#KHLQ zq|@t`AIWo_XA7RC*nTR^;?L}qWz)F-0L_sF6SynbdJFPl#;`x_RA4EWgk>2N4#uQK zOY6FHA@OfVoIjNI9-o5jFwcBz*zh%Ry~a?L3e>J?KE&-5JcN8QlQrf76{}v_UE7PR zp+=51{{Yul72`&g3%0xX^QKkoxc~%5@~*|va5?do=)JG1*T#1XYSM`Xa^6>wr#RG% zwDO2_M&GU#1@HqUjeqs$IKnq~Q@E+q`nAtz%UD?2W32xGmi9l6dj4!*^`7C=D`R_J zGWB-~COCX&V85`AJ2zo{M3yA(&LDra%=zEgmbSjTHKmHhUgh!~XRcWF%vbXA0UeX$ z92R8fusye~+QK^+{{Vb2&(uKlzlQ8OO1F zSBt+n%ob+}SZpa)of+f1JetPhh0Krt04$35yQ7!Ad`V2Ko;M?lxar+e=f1PBpSnRE~ z3j>Y7SpNX(WB=L!2mt~D20sA$BPEjqE8<;G$!vDkWf9xKNxel?8l0OVQ}2WN>PNS> z8;XAEQ;7+kJvtx=e??s?R4vowrETiIL&PXLE0o=X#Ha2lo-*>G&s!kAg9*95b_ofp zIjMyO^KMHofcGf`9;$Q^LH?>##$dHkJ;%dEU{gA9TcY_7B;zLmaU~LCNpnxS07opL zj=SwRUWEFG(g`9RdBjWVPV05Q`BNH zT{!Vv3V++qig6s#H8PX<=9pS=okcOK(dwLilMyM}m>{1e840-NHvMdTeUT38aNH)M z0jgyG0441P0*F!llN#I{=$y&jFvTc}%q zKu6IJ|Jncu0RsXCKLGk80ZN^26Pdu@RE${EAvu)T^C}@zN{cqiQ9)8PSYIT~nf(+M zIu$dtTXaz>b8x3c-!UpQYAKZkl;r^_w4;;{r4k~_WnnNweG(I#vAD!ScT+_gOXVCo zl?rpSnj-=BRkFHeGA{*bRu!mHd?C;=B`-*+6!)iqD#sSu;z#EGTo(^(dhwxgWT*k>IIa|;5pmuwo12kTvdJlJ2hMVfTBH2E z%Yt7-{{XgZ{wn_f%_sAqd)p)?vwe?figlYG=27`BMtf&a=+~6Yk4Ze_df%?IbwM-0 zVxumi6UM(%7LM<6yEh0SF1()6bJV1=pJw0VeoPa4{&j918VE0eveE?9wU7tL3S+sOFRr7va7iO#n`rT`@jE!1?mKk+&PLP3MEWhZpJv*97!LQd zrq!}0ZP6m(^H#2eWU;nIx_r^ZceuWkZ;WfB#}W}puOga1Y*xA@wk7e5V>QM_vVp{3 zszBd34F3Sf-Fo50ID2KfO0AHQ^lUcg5>xkCASb+7QzV&ojPa+&v@0u#^?O(gvAJ#e z^g)vSW|x)3{EyZw?kFKUNt6`YNuoy!uB4wTSm9shY&@ov|!V-vhok>D?2F=%z4G;zH19q$;4n z4Wr%8uFgOKxiOmAL2d0E?^!XJXF||;Rwk7X5rnqmqV2TPCBV#oIAwd~E&?x-yC)&8acp*<%Yf~p$bGZZZ9zl#e^*M}P(ns3QIL$RqbhMOxFzyh`OlZxz$ICfC>~W- ze}TV6q#`xb7@ob*NciG$EfO5M@}_XnD3+OnC@FokxRZ>$s7DH({$H;XC$uE|^2kvh zYiUQbYt@MpIpRzKjH7f^MScxCqESp@x@WJKK1B3RdT``QQ`!y?yT@KnceXkADPLxM zU6(cJaRG(hS)xfr$@WWMSzVNrpYY)!n}CNEK-02Hzq|Y2s)ff^me881Y9IhNsZgeS zu|E3vr)YRu2h!)c{{ZK-f$xv0!c5Y_XOTL+n<(MU=RzO9C%f&6b0x)zyngMjj3&;< zv@E8oQ^zvYdee+zm_>9?Um|#NXUeQ^#`8&d$gh~L7!CKE1quSLHCdm#RKK?wtu9crP7}ha`K!zNo>sL4 zB{eEhkRDSVrL~i{MSRz?p2#0MUe#Wza($!`b#$cycvwur2Eo`PrROi&LS4H)<8EErg(m_o*^xzubuw@5+=i`S(NhNq3JfMEkCJ6N+BsonI?b%9>@%)a&>&)!)$v; zzj42{jO!WHDwQu+-M7qd{7*ggUVo|$12QJl8K;$s+^k_i|qq&;ZL)7`1m+oLf2#N~74 z!LYn_4BUVT30G*5KC;ow^`o`7bq_XaowWrIl%Ld6r4SMX$us~#QxYgRKDsxD(e&T^ zJ`c5w22=$?`yw9zddDC-mPM4~`Xd`o0bSZay< zQA%j6ZqqoPsGoq}$=}JGRwWhWQVp$*@$T~J%JZocQAwsiu7nVli|vwT^JhHAS!#^- z&N#x*XhgxBCBE@t7ijAa1^l6zKg*20zq+_N$$x}T&0jVGkV2Ab6e0CWt9L6d19*E`)W!b^}Yy^D>eh_!HgEP5#%=RVPJU%9Y$$>fSDK=x}tXPPFg zbYaM>OyLtgK^r2N#Wd`RM6}b9j7y^)sk-746$45|lgDRxiESS7c0`oo(Oe$`}QG;t5zf$o=UwjhFAnaZhk+v!5? zd(z1bThp+3lG@z|q}v25htuq!1ro~7MZuT%s^9O#$LX?3kQF4tnqgl3bS45CJOKIc zbAKzef|p$_TYW+}8<(BZcky66!)2>tWUIHh5I*lW6aN5*0q0V0-yGK-5<*W|48RK{ zvQLw=57N!8`rbR!NyvTxGY&d}_DHK=bEdKx;WlF$bQBz91iC$dZ zuj!1oi4UAhg+UDg*6nz^U%~Aln=8g{EXl2-b7atQbJdyeU2w9SWm|?-mDesf5)Yf3 z=RHX6ZU_5?$smDan*dC9W%`z8=2}F2cgx+}$ZMRl~#lyE(c^`p1132wl&r;l+Hy0MEc3+Bi8*UO{zWOM=5hKOF)AnmA}ROq&pJ0 zDSv8YLn2*PXYEzzyuZVYW;I0Th7yXHk-|3!o~SzFWMhXQYKn3sJ{YcwMD$W8ufT4M zVx9uAPDJz(i8|t2BMwxxML9e9L0C&vDGs!U5Uz^S2oP0lwOTr(I#mWH%0<&sB)Zwa zdC7slw*P!HaZ?m&9o#Jtk5S_o24 zte?@!YRx|M>SvgwW^39Qn5Y0L1$^Q+fT?*8^_JDFhmOSAKENL+1X@5oq<0?<;olr4O>6$TX3| z&*5){F-o0gF!9HWlfEaSm}svuC5HH}nrIR}Jr%4^z~RZRjq&4&U}I_1v>4kHIK?>| zqJk@@eu@tPx;I46k}F`^58C>p%;Wv!sBMkHNwHh;^pc z3#>>y-$?~kN>?w?s%_VO;^{Q^W=K+j7>8BNXV>F}G}`^j%~b5#IY|@xSH5vxuV&xb z!9{~AxHJk_<|ca9;owXqeeCLQolJM3$;~1r4u^|z^}M4eUg6l?vw^Cn?=34M3T!%%9xQ78nn|*IT8oU9w@FGB7#zh=$X+jM*c;4 zRODk59D$=}Dqjq4(LPV@i3l1t4Hb-Ie%%t(IW*-+X{HkAaLFhi#IH6N zW8E38JFA-G+}Ex~97pKc^CFlE05wN=nMnJ>K|5rR(3aiggiUtK?A%twInAHqkKciF zVQ{-!gpPY=M2@1o*h@YC0B)5eQ{LDTSB=K{P%eA9)ZI35N_pw~#^6sA64x1&LQ&T= zPs)j=pz9b!&KfBciF|q`sw8}oGmfaPI-+{wk-jCWB@%!+JG5cT*~$HyYvJTD(=&u_ z(M)u1ip65OH|UUwXq0b*w8Vxw;zB9qoEz@(d$MM7Z6d32T}Dy-ahBpt_j@LG)+pvD zW3T;l&=hg#?}L~~nuxznU{~8Dk*-BQp)YK*=2NW_*dJJ*YR~gfh#7$j3d*6f8^23^ zrL(}4trY@T<{an`+qkwIN#HG#K3t$T-t7lcXsd?kuy*9;Dg(Bqedg+bDP^!y5k7Cd zucO8Fa8r2jMY2rMYi=?&lhNk$)So^B3Msm@`nM>w&m4|IQq!LAaH<=3hyx5U#_026oQ?5`i4Ay|rzTo=M(+bMWi4Ed{Hkb{nVouP@oAQsZSYdpqhxe)5D?-;&FaM4v#N%3%3$}47Q&-l`Hc)Dkq=K|66uUw#iJ1vJ(*Qo29277x zHOR~)>OE))QvK^tan~xR7G|X#<})wgfF&Wa z4E5onK=}?3OU!_>esL5qqh#__B`}3h_@@)Mb?ac6@PnD7KeJwT74l#sy=_wZK>5}< z7d@(NXR}wS*}xX}h(m^6$?s&To{D>1sdMnN{(!OXL;`aqR_>icDeYSMU8B&uVhWRV zjG|hOF(}$Kq~Y*GH_fN9xwcZ2{y<}!gTU{XKEiELGvV2ffgTkpT1nWw;lN;iC z^h!5H#g1_&+E7ANCIgc8OHZ7Y!fku)q~A2bEtd!ZOHM8-UUqzzGynZlcK33Upg3loFx={CzEr8NNVOexktP@UuEAIm>Z)mT_u(z~mAdTQG8xc&R`;n~#}V=!j@H8AyM$m$4CH*|^OOB3 zr9xoPgMkr!-RnR6WvK~K)+g-M>n1F&Cz?(6N;?WTYZb&{2W(7ZqL7siih(CwT-~Jw zR*$$`Lx`+`tCezq<4Y)9=9Qv^9~^tXdLVa=xDraq%IbZ7lm&Nn(p2g|E46ThI-erB z(55Xw?<@4o2HQT#vN~4|r=92`J?+b+smbu$JN9msuh!+l`GQcHv!Zf z?Vf9iAxS<|#Lf}BmUd~BQyBiZ#$q>Vt}$N)NThCxcnM*@O(T46i3}oKikSfv(j{20 zk}KqiM#z|~v4vh=E={Jbw3F=|S4Fnd&f@DpyYCq@Zk1-Xu}3ijQCR7(K+{bX*Pv-+IBH^UCnQImJVbxo>yLBM&Hh7YKXhq9OT1cuMWSqe5%#I|$YUy73+A%}o zPD}5({{SyMB^RBgi=+Zd#&+k`ORZ*C<}};~ZJk5SnuRu?pWUd7ksOBP_Us zmgk96`?uPQi)I$3GV8soVO<%DrY95IqLDEHLv2KEIHc4;T0yjQ{Uc+Besgi1Xcr9P zms;W^ZBi4&m{D$Eku1WB2hNmP-dfJq<<|1J6I7{5B`RCKlugF-py1*vpBaS-%uf+f z8SLIuX*}jn6KB$GQ~lTs_k&*O>W2%h9PJb5gY6rHyvmaEDiaPiLWl0e;(GK`k-9g< zanrMrwkcWbiE^u>#mkV14pH5s_UNXHM(rEonr9ngxSS&z#I@lb=W3MRccO%YAkDVt z)3_t0_Hb{z!Q*jsu9CT_0|YdVj7VZ}tGewJeO6pwyCo6N9J~)hXz!Ulut<- zC9XHbj<^a2L}4f|C9!LERWkZ8KAT+FUw2%sw@}l?R`0fX$XFSYr1W^8q)3CPib9mx z7H;D-jskrcDsisSsYp8ALG*+Jh@D7)j^PV-^op{RHl?-X^AiY9dG>z$DZCl0V4iAI z6^RUVPm@hG(S+i-#LgN#SjO%}Vv$&o(JeSk#G+W3kQLh#>2gj{nM0Zmvk6m(>{fZ` zCUH?H@oBD##{Nw)isE8GiI{V{dx2rcP;%UNnEAAwZCfYl9Z%LlS>kbLGTbI=QP!m@ z2uP4P4pnqZkv$TD1|^`+Kqd}?C*;)yFWVp}^w2Vy!FI*;s0sT8(OyMHb{6fZAkQJp z->ijZYxiI`-nNLiND}QC_HKGhLbEo%Q?Y=CF4EJ+Kr;UTvRNmhjQlQs8o!~tZ1Bw6 z$Wj(JC}o5p$#%`nx4^8NZ1zr%mYCfV7--opOphaMWt}yR(R1D?Y_#(w4lONkg|rNw zZeLsHLv~%jQ(&ch?W?0J&MSM$D(!w$6evjR(^#IknT^^p*XxXN*J$g1o zlZ0>6NSsMNSgdRjAsE&S;$+B01t3cp+dfTkikii0VaHrrT4kVA>+TsL2^#18Fz0r6 zDwM}|uZHUNLo2WOjVI_>fZ7IBBLM^hPXRawljDhMit54%IK=c%TvH7O28o|0i76>i zJrxOvA;cCGOv|l`gSd5InTVE52s0znM>-|1ScwB&6{h&9 z@o1D+O*Gak5(1}ORLtoo9TldH@xPHx6+TT8*A+956i*1HU=<16jvBk<@>#jx@Z8ajw@3vH`Z5L5{E&w?&i$c?(~_7ePznNnbk#Ux(vC#2x^V` zphY5_iEV%*d`MKphJzKuMQam)b;R@*t{Y3OU{awOt;(%da0014~#_hY=bUA(Xu6~C#ol^D;xC~#LR1jsZI7bDj)oY z_W8~8RUM*bb8azOIm6R$`;j5Uk&1PXhnopz$re7zW2y47s52jVQMH~|Ax6ND!EL{(R zCO{x8dQJ1RPgcmo#S=dUnGAV5PRN;xQ%>y@F}f)fjr_T5(T)?<82S>#dz zoij-0qg3|9)vEw2IGw_Kd)EiCu|eVoQtpq@A{BprzR}S{AW;Y%M$r-#F*QLW4UtjH z{g5UxfHg;p4qYG!-vnTGz!5DH+XF~~U{(n*Bl@GVeU!RZsRBSMp2^3#*|@bl*#7`B zQ^e9#evPxv%$}SFt=>JNDwi8kN{6hODlL1W;^*G2oI{FOcm*vfLUU&A<=U-ZdcO;E zXehT3do!`b`$kpjx`ao%_p$>nPcLeL!Ulb*d^FX_06z!V<4_B}BZhV+7T3jPK4;u?P zU9Pa&xRn6uu8omB8={!#tu)W#iNwrEa`$MI04ub_wZybc;~qT{_~Ke?qyP%mu4+Y0 zD#N=Jo1XDd_Lm8(R`TfP=Dl(Kh+WI>6}<7x&@IbPW~IAo67fD*y^XtRS9}vVju#phSg9paT=)hkK*Dl|JxrWxTpgLm>YE zr)d3uU2%yuoXtOwNK*QWAkT z7NaDkBNeS(6vMsS{{SCwrIW#R-eQY){{Z9JeOo*o)kQ~~rK_bGoD@4?r=kRRwd#jGZL*W-1uy>RhOzHdPH86Hej_(q{{XuemIk2; z544?uTrPg}VFEW8BjAaen4YMv8lX-Lpzn>*x+R1I8}`L95j}b&Ji2oXML~tX-Ks(v z?-o$)!wN_!aZUR*XX$ZgfY*4V{kr1>9Bs+;hY~(a2LbI8p)jP?GCR{4#L1*=5N2Yv z5wbVxiRhOZ)M8ejxR;4JZPO7U~k2y<# z;;MBBmdH^QF?Qw|?-V7fjk90g@YnX^o|enanM~$u+etp2PS4kib(6sGHtu@--`;|m z;S`DTH${A!M#z!EDFS-A6p4yxoNSA0+jJKdXcm`{nyjSZlzFfaaZt>pkx}U+#O$BQ zj1<#@J7jFgu4E;v#{J6R2`PD|(K~Z=AuhI3<0=`0h#^U@oR}9K=J#ZkgV|e;o^oGQ z-#gcg>9%F7M42YolbDI~mvPR|3296BSM|2?fKRCO@+vnTYK{bMiEG;%^h`x!mDv+l zlaVc2E2n%*TuC)lW8>sXDI4_DRxwOA#^{+CSIGhE^#bFcGi-u8RqrYKHvIKRyei(u#4G1xLW|;*r_GXA0kF{s_7MNn;25EV;ugZDpgDOfeq)(N& zM$Ht^2D1|6R~<9KT8N3=wZ|zXD$t9>Vxi1%4?~*2QVIWhfJMH!IO(&&Bs-ZdYx2xu_TRAUx$}1A~30dNSsV#0+WeLM2@JDsMuhs9>qtbkNQATk?$>& zDYiI(>nSlN)C#aEG$Y}Od@&)flsD*>f?{zo2Ydo(Clp9?yd4MK**GA7_FwZptq`}L zSzD@6Q?97Yte@e4wF!ZQ;zEN+CZu2^yV^MRDOvHa+Mn(CdtUKC0lTLAHweV7qs~5) zPBx#zfn$0k#&V|K6)DXw6?u>yVZ^x6uV|Wxo~;z+Rw*0eW6K;kM%@NcIIcQr8$p4n z?SkFQDN=?Gq-Ah;mLY4hSiWZ|B{ItDeBVA9ZO~BltIjpqsBvZIz!!`m@}6SIlGEt8 zBek$|-Ww$5WmIqvH?JL=$;eSmsuCgsRm6ir4Phy^xF+0VZ0`~d;XZ5x->dz(>2Rci zTUqLb^c4V_Zi(pI6wxgc(Y_{N3gv;))DnSk5b5Gd@8RiHu-}8ct)= z0!BZ}Ivh_?c>FLCaFWWlsBrwK1Q2I|9G@Q`$MtB!hZs%Ml5*|ilFHj!kJqeyN+H|3 zrxdqdGj+p)rG{RrQvi`R#u1cYIRPlDlw>?`!#`Hq5%N+K;mx8JmhKx+afPfLxrYf# zvhgJ=NrKOKTb9tGLLD4Uu3bk2X0vxHCp9)|ljMaaPlHNi#GDRzA<7B{703j4jz z-LX>Jlvv!JyftRkqpsdRGS#?G5`gC`&`h|O3Apdfx3{287HO*tt81$IXHye6>BcEY z-2iB=J0fO>WN+{kiN+My6~j=8=v~#@skgRop7PBB>Zh*1G7Xi1Rj+g;$$b}Jmr3b! zTKhO518^FLqv1SJzA7uK1o4mA6A?L3;}p{~m4g|!8xG)S)MTT>ve6^g`n0G9@LA* zcvd&O*D=T!7B8aZrE3Ic^0V`iA&f}t(c+EKGlWQ2d`Ov?kg1Osfu=DmPK?UKWP9Iv znFY~{bf0MIx~NZAthf$d9?snas@};THr)D3`p10ukjHEdy8I-BR8}h(Rw>Sb@y5Z) zuPa#bMps)(j-^UOd0?AYW}c&;u*YX|(wjYTRD7tK6ch$BtWGBrF}f2xK!x{(@yg05 zgagFl`Ykv7P^;UsB@PzaPc@`!X&=P6%A5zs0U%&1c@oiJgMeC>E$yLhr}vTl4OZ9e zBIT8I7AGn6kgjqS=b{$>0Q`N-;LQ7z$`h|em(?Wxf9At|-F(umudK;vu;?jSK&l){ zRnAA95<0P*O9-y0oOt3`>5+-(q*lC>INcMBYqBe&d`Rkv>WPhdpj%pH_l8{#r7PAY zl}QSM+o&zL1c)^FCkcMpYGKqFrN+rg_@FW04JP3vfG*L(=pK4Gij4LbDY=#*G+KQ& z;3uRp8spsT$Gl(9j2g1o`(>w#H=_V|ssci&mo4Xzed=5}qqVnn53|>7g%4XSZrc(O zBabJ3oq{M}kw7`dJ)g~va`yT#Bnh4a6CUo`Q+wX)6Gf;zEl--|(ueq5_*M6KKC7FB zV5MM@)M*^n(GnO$hk}-k(K7&?HAP~POm&#u;bjtn6gW>r)&q>F+pJ=tf$^pZQUmCm zfk}~;*+P+2tu^e_Bw}jBifDTN`CXl*x@O|_lhI|av#vq8c|DU&jJCGG%ruStXO;Ae zf|G{1MLIAv&qZJ_{{XLU_h&d(Jq7bu9gFELyVO{cqdO~8 zF9Ss&l&BvhHQa4pwP>!*YE{NrEyCJ!rL{Tskf!d?t8z^9DydODEb+ojjwex!{oin_ zWs@}&b5Ev@YNIE6vOw2%z?k*gguP_)E}BBdx~BqUE_M)+TM{{Y7qJAuIe0C~t1r>xDGSNPDiDMfBL zb2{RT)TMQnqZ7g;JRZ#z!X|Lp6A`)wiNxZ1qjsA33gMz>RBnJBNK~+%uSD~c1hA~h z)YFyt9Opu=FNwCdWjWqjg!d(;ezn!AI0BJs!IirN@QF{_IG&Td=#osq#O*rba*;YG z$tzcoZI1E2UI}dtCw66L%lxnC;a3PKeIiJ?XykPu9MbIvIUi=`cH!4vPrfrDlH%C} zI++Ul#iZ4r?^s{qHw^c!o7s_i*gd)olpIG2(6n?^nIbzb^FUihKwKbkqz<}zaogEE zm)TV_4sbqtImnEp&}-yNM6_29RI~}<6~j#9et*9%J@>D;Oh-K(P=o!cs9dZsu} zuN)-LUdT(?|59ao^h5{4;mF4bYl}b z2u`S^XFN;QutMK?)Uj;X%=N>DNkIN3`LMq3?xiZ%x^P=8$cf>iLa|tbH)xbgR^1cV7fw*EY3$KHviN2^?&c$mDfr>jgL+ywPUMIVrtM`>6YAdWpOE324M>m5o>nsif^qJ(RsTvpp=Iv^Qj8p;OeS7 zX08fuRC4>P=1U$d+&Ma#BaVhQXs$aVPzJS7CtMa=3B2!OI4wbv8`$O2hxjn7{_t?U za;i+xDIG@J=Aj9I1_NAgjs6Sz^iBa0Go%lO0!A9lZi(oxgqEG0iJS%|F0O%^R=kaR z2=-|G)WT8@uK`FtY=n1HW2J0|Jg$!4{^#_nruNP|#BIepy3cYWKSrPjck4gzx= z?EMnF_CG2cw!dXouP%vEt1CFko33J&_Dc4#BTdIf$;XN(0PfIW0|P~I(@62?mn1~= z5O5rh?Q%pZC~>tDgvm}=rwYcr55ov)`FDk@K!I-I3R8 zNlGz11W7t);RcD3O%l;KnThSy9zH~(k-jMt*QQawlZK0z=OwK@lUvrl1pfefIIFHH z%a+(A&?HQlB|SArMXju**fQHv^b(YR5A@|S`V#9-468!GDIn@nOlR)5awJduqtjD$ z`iH9Rzw?pzaBZ#|UA@9)Lk`Fl0Z!4E6YSn^(1l)Fq%FoAL3OojZCol5lRsenI2S=b zHBlu}cyEaubW=v|PWY6k0K}qOIRYt!9TmVw^+4AJi-ZRnHOirZ+LO_Y{oJskeu2sp z=(!&5^GapWT&o*8s_8%`VM)O6@yEKYe*0!r3fp?F0o7sNj5_tb(rksgb3WDC%Csk> zw4Q5_zU}UXD%ZM|Fyq)iaxnIa`YV2`ag{Vkz(P`rnbrUXvegvR`!rXREfu6;NE>$O z6A>d7ip66XoNtZ#FwrQ+ClffFB3j~_C8r$}($9A`z6)X6IyS9hN&L36erysdHFyfa zVXk6mqqY;@z2@BPnLp(kP@}E`^Q!w6Rr!wn-yi4$Z+6NbXw;!#C`@3XK5Em~iOeLoeyj!N7n)$=gay^3b zygAIdAg@!e1R4_()-+F%Epfg#z>0D!0K~NJ&?ChYj>hG*ywk?kgErmc zZi|NXW*kYLwwzD2XRY&)sM#0X^ zkaF9(REZ4U${blGgCxv@{n z955tkb-)vxR@V5Fk9So2#@eJIBip9DKFvQr_&=2vw<;M_ zrovW^l2(sqw78y%vnNF5T{DSjGv%E!8YL2eq!`%&Ql21rM4|K%B9~Sr;!%W&S2%!^ z%^*r8h!9(FCBzW(k7EJ71J?U>VYgy zA}g*4HPN~}T$%VP#e9)Cna5;oi49oB?nAe4nIWMtHK|x33GP9;h-fYFne_Yz&><662bxArXm0Q;Y!dr}J*H1_RCD9ZrgsvS?> zK~j_2H;H|qa!_-fYl+4`nAh4xd{n53X%Q_^#e_~L9z8pvXB`3wisKT20#PHNKqnXj zc#FpMhnMl3(34siZ0aCDtb|AkMQKYGF<|pp+m@N+v)732lWDWWha}b*Ws^_s78jDZ^a$M24zz%Gav_w8#7JdL#b; zC3K%++Oc7!e`WWR^Pg7VvijfAg~rFbyrVTKAQUK*GN&2lsb6TEj`{KJQS8a1sU){w zK3SXmNH^B2Eje!TGtQ}66)~65jJKd#JXIiPHqWilfa*vnJk=!3A1rR$6O3aXK^mf4 zBCun89l9}z=^qps;}aAjv33BKY^(B=ip1fy3?v~ZQHsR$l#mn%kp{8aS}Wb2#Guu+ ze|9q6G^Wxzc%$Wrq2W6A#%9-$j0k{>_Oql=I^7gKB=n;_tAW&=Q{o;pMqDL`iALzA z5hLV^`803j?$a(+W*|jz7(%aZ!C5CO7U0QFuToR?Y3ZsKd&XUj!lt6wl3P(E@F%I) zfa?w=#480P%tbMUKXT$)X*E)mVB1;E7Ku2T75T~?6hyHkz?kAV^3=5%Bvg~C6aN4p z?>5i4u&?}k3lwo_Km3)BlWi;J`tJST=;8>NG$6&zrJ)vzxJ0tbZDt(Bc7I3sUzY_p z?0YN`aNiRu)y^Eu-A8*jAS&H_8rfXSXVvKXun_t8TZ7SAnh&6_@5Ii(f-A2L63|Qo z6wz3uZJxaXaDS>P70^UZCNNtFGv5@1>;eY4;R8U@cE&}dEehu$RY~=oU*x0OB;vq9*jaKy1EU%YPa!Tgu_Ym3DI|HxR4M-FU%5VNSya@@y&PO;{6Dge!@R9l!6r#?iJ@O~@_n10 zs-QKSwzn8Gv;1(y+kZE3jNojnl%G~NM4;)MPnAgixTc!xi#Iy$sVCJiAtzXvRC!`X z1t5qAR8A&!#L1)`r(9(f2NcR+_~A}9{#oT~Na3FJ#O@K9txqDU^}4YzW}TuE;4*O) zLy0+5J!Hpa-U&V8z!T4d<8oEaTm|=g!Am1CwqFaW^pbhrtV;u|7?8(BGe2rIy5~(%N-8QT1g16!tzvTJ zN;@=FY99nK+}+(UPaWOC94(>&2om@0d~PfFQ}m05d$z?H7-2F8q@{G-aP*vUT<_9q zx4UT%vauyLuoMr&3%TwWdo`0kb9l`aI5PhLIln>P)9+*bzVU^!{hNx+g^3WA@db{v zUV0*!Xr8S#(X_yu=XKs8iBB?%?RzImi0_&JmEha>HL?x@y@5~BF@Vb$;E_9>( zg}_qBIfpo12dkH-5>O!QG|?dyit6OZVWTp!I7H4SC9XHZopoWh8wF0ftP+ulj>_I` z_g$%#n=0w6r*m+glD@7C_?4`{QPrlt0IcG9qiKfitgo~$SVU3x?fGLII4zd#a#k^vo4Q3`Q@ZEiIbRR_{U z0{ca2Rt~qsJiS;X8tarLVMPiUEhb9%VJzLtylsKzIArQanDk1`{Fe&<08IRl=c&ksU~ zU9k_hun8=Boi9`J<0`?Sl7ZA>=es+_uVR&?vvl4qr9ymd{W2iCYT{pSQrj~Gc)8KL zK#80V8hl)Xt_ax<8B8J6E5*^Cg^i-Hp*>n>zn5615iuS(q#O?8ge}A)-Q6N{Ziy$( zL`g$BH7y_Xf;EgpW;mw(#LY@mtg64wB0+Y>sXdMTpu0z?!7LGw;}d=Ma@;6&g{SgwLjy)@G;Gx(xDM5pOOl%bia{NS&`APOjIFi@(UvDg(0yM0YONg#cjofr)?@4H-_S$c!i zcbNbzNGqDHN6|ek5)oZdImGtBK&e(9IA3)4bA*JMUR@-}6aN6$X!UyWAS4C?CY&{x z$~+oc2Y`u@zB_xAl=n@dhrBNi(Oltb+5Z3+>f8Iq;Vm?fhR^~~gn$y1fh46V9Mq@u z@|NR%?{aXsXaGt3RC65v02A#YZ-g70B+UE6K#&I4k-!z{+F`Z5J&2^ishV;e~+dN`ergadO(CN6ePBw2Dre9>|Ik-!Gr~7ru`U{R} zKW6kvV1TNm>LblMDU45TJg~0sv|O)U61a6WEhS$!+pwIe8p-K6;<`>at|k7Ut@~mA-+HR{jVIZt z&SbjB4?C`mkgZGU%oLgU!4RRb zN*@7+=d8kZ6G*HQBDCa7O-7yZg?UtoiWy@ar(|1r;#7rI)SS9@ zOS`z0R=Hq4$-Zky{x?5R)Z2**LcvOsp)f(BlBAeQYyh+^&$DebYyxMMC{N9&(SnPH z%0{K)ljy1^vL-gc5CGCtLRPx(K2`ifBM zLeOzagvZAUa>ZSm@$8glljy6X1)G-3OVu$$=%{e2H$#kO1=LiabAnGxEDZty22vo! z+EfBmT%9njU@lXUtRco$6hx-k=LiKgn5fwj8YRjcgUXaoOvDg8uzOS#I61C2Aff%} z&e8X#k4dq_pS(;MJ}(C{aWW88x|7XL_)q+!z1yVocY6yMk%iil zdMW<^Px=107k4+KV+PIhP@D3#F>Xh_g7$a;xMhi+7pD35?isK1V2|~p}hS*9w!3EmLy4a{2 z)6#OYZQqj%6Q5OZW&C*3j#`?j6x>n zbUX8VVX~_1@I^{80~kt-`y`oxAMO{(Dej9^dr8nbp;2s)`^xHllHrisyvagKSy!=ue*h%ha9wdDwB+v zfibCVsuIU~C$e-I2hcc7`sskC)|XJKrNkV`K3HLL`NjwCsZp5MbV$>DO$RgwE!2%Xq*V&t|^31O^QjN3Q+i=8)|e@ zlynx7cr}J3ReT!kcb`zBe)_TiX={U74^C-U$u9 zz*}oToTPY@4cXYVr|up(TWvU>;YxbTR0glERy);aF}P~2qwG@Bvi7L+{hIvJF~$axRi^IOZ+5#6Mx6r zeyFL(+3YUP7fi`3pW1XD{8Yns)`&&h4y**-B!r~`eCvcOjl8Mi;O!$TAU%BO0^;pM ziCX(M{;8bZ56sX(69$ABQ}#(6-F9YX(Dq6)r)bADAA^z#uGlKKoRf0j8%DAmW9GAU z9_-f+zjE4?#lwA*g}!o3!h-Uia%mm4lc~8tkR=$8ylb4?QnJxcEK(&K;(K*Oxpc;G zPKl09BG?R2z^9DH;3|9uRCCpi=m8+9Ycc>-l643d*Qf`*u9G#)RhG>~x_titul&EG z{a7uykhCaCNl*k4=On_eJE>po_eP}xYGp_6`OSV8v-=oJuBFR236pm%8Mdu*ONsVt z`?xIk!*X7$wuKMhHT~Fa<{V45>NI(}k3~>Ut(pY66A?T)6^dxbLD?v*SIGrds~Hf0 z9A#o@GlZq^Z7vl;k`H8k6~j&2s5pBRf}w&$6eEb9a?ZG?CYZvPucPCF;>}Do{{STK zM)4WDxrL8{@ku}b0Gs~+?0rw_+L?W{y3)j!g@B}xcBN3a`;pIdc+8u3CZ?6PQdey13Y6I# zMyFgPCBWO~7L`Nl!5ZVmj*+5~EpbROJp@H!zDT%9#z`L#f(>$sN&wabf!8gQ(N0hY zTBu-O-yr`0wA!nTAEsErgngQRonM91;0Z_xN<@GZ01aQOsO87Qhf(MHa*?cxWbcFTD&IOhnvt>rrcXNhE21LAfau~FeI7gLg0}( zkA?#)0ruz9JVVi3NtXt5&TUj6>>X&BN5GWDHRB#WMKnuXD5`-q zQP?3iOp@KBXFbExm_u{N?_B1zh-Sjcu+_D?LK{HY)=}v#T;;FzfZF$sx-MBW;aHgy zre@dAZGL-jtB?5exxkqgj}R0*)?ZfwzZ)msLACD|7R$+^lCV;CPQ4hKc%Tq+l$nAk zW3zk*5HL6x3vFU}6dY$1#KieW`l5RD0j_a+2B!cg)l3w^b_{t^_~D-D?$j4vd3kAp zJtZ%y+iBx*aEl926yf5zeo|A8YgzLX&21m7X5YN6k#LTRjTWD~bs1bo%7t9_1E%Se z*WK1YcmOMvTn)YZi-lX>w61SP<4n4X+;W73J`@nbFC#w&$dy8 zV}6R^74k&RiN!I1ch)Y|(Jq|70Euck<8)JzW;&LqnrASbb1Y(moRI>K0IHIJ5aRjR`7DxmcfsC|HHyGU#(IcZD99=D6T%ZQ+ zQ8R=EZGr))#wD{tTj{7yP)yEpB$2;tBmPU?=$oz#)(osv1OBaG_5T3V{&&^>wEqB} zU<;0o({f9qT+m#Xv`3iaD*L+JRoe)!YQ)m&Koj=1^~|5TQ;?Q>-MoMT7joFDm8iVb zCHr?0^D3`s*_^#DtZva=5`!Kn*$aN%!qV4LlT?$w3T_)Ik)wjBKD;3S0_ueT)xH#^ zRccWr#bB*i^hVfK!-ila%g5D^_ipn^{{U*WRT6%g!GkIL6n#3s2kw9ZKu`g*^{q}k z$hW*#`nEty$v;}2WB&k)2KT$kxwS#f+OaYvKlL`e@9`S@NDTLaMWe?D1zJ)60JQ-? zg{43#D@g?;A2~2SBN<#FDeEZ6d?q9aBdjVTY)vs-baqZ8EefGY6CWlnkSLTmq@-*B z#_5<+mSmLF#$!YdVBHC`Y?kd>lJ4IFn2m#@+dIu`{{Ybp*x9xjwzo(_O^rZ*X-es@ zr~2HtQrEd&D!sEJNm2V|K5c%Iay#~rZWspU!93-rYhXxDZ6a zp#~RmY(2v5LaJ;{r5Vm{F~j_&x9h^Yzq|_E?v{r9gR05MU#K_`J zQbh>z!dko@^w6eb&q(yTQJ&q*#B-2np#le%E+v6+f7c0Nx*Fy?p$xK;9Q(Ha09?uz0+66Wl0?A=5JW2Hxz;mJTTu%j+-W;mGqKgodCds`NPBsXxLCYLUwpZ+c=W;ViIX<1e#6>WOLE*M`qw-OXQd|fX( z6?6Xp9d{wA_b1d!eHI^A8h;=2VOG84Y`bTK_*@bM4wGx